PRBS(Pseudo-Random Binary Sequence)とは、疑似ランダム2値信号列です。PN(Pseudo Noise)とも呼ばれることがあります。有限長のビットパタンを周期的に発生させるため厳密にはランダムではありませんが、伝送装置や伝送システムの評価に広く用いられています。PRBSのビットパタン長(ワード長ともいいます)が長いほどよりランダムに近い信号に近づいていきます。